Запоминающие устройства можно разделить по следующим важнейшим классификационным признакам.




По физическим принципам работы ЗУ делятся на магнитные, электронные, оптические, механические, криогенные.

По способу организации доступа к памяти различают ЗУ с произвольным доступом (адресные) и ЗУ с последовательным доступом (безадресные).

По способности сохранять информацию при отключенном питание. Делятся на ЗУ, не способные сохранять информацию при отключении питания, и энергонезависимые ЗУ; По способу хранения информации различают статические и динамические ЗУ. В статических ЗУ физическое состояние носителя информации в процессе хранения не изменяется. В динамических ЗУ состояние носителя постоянно изменяется.

По функциональному назначению различают сверхоперативные ЗУ (СОЗУ), оперативные ЗУ (ОЗУ), постоянные ЗУ (ПЗУ), перепрограммируемые постоянные ЗУ (ППЗУ), стековые ЗУ. Программируемые логические матрицы (ПЛИС, PLD – programmable logic device) – это особый вид интегральных микросхем, предназначеных для преобразования комбинаций входного кода в определенную комбинацию выходного кода в соответствии с заданными булевыми функциями.Программируемые логические матрицы применяются в качестве конечных автоматов, устройств управления с аппаратной реализацией,логических преобразователей адресов, управляющей памяти и др.

 

 

16. Аналогово-цифровой преобразователь. Привести структурную схему.

АЦП последовательных поразрядных приближений. На рис. 6.4 приведена функциональная схема такого АЦП.

В преобразовании предусматривается N-разрядный регистр, в котором последовательно, разряд за разрядом, начиная со старшего разряда, формируется двоичное число, соответствующее цифровой форме представления поданного на вход микросхемы аналогового напряжения VIN. Процесс формирования этого числа состоит в следующем. В начале преобразования регистр сдвига SAR сбрасывается в нуль. Затем запускается цикл аналого-цифрового преобразования с записи единицы в выходной триггер старшего разряда регистра. Получающееся в регистре число с помощью ЦАП преобразуется в аналоговое напряжение, которое на аналоговом компараторе сравнивается с входным напряжением VIN, мгновенное значение которого запоминается на устройстве выборки-хранения УВХ на всем протяжении цикла преобразования АЦП. Более высоким быстродействием (меньшим временем преобразования) обладают АЦП параллельного действия, так называемые Flash-ADC. Такие АЦП включают источник опорного напряжения, резистивный делитель, компараторы напряжения, преобразователь кодов, выходной буферный регистр и генератор тактовых импульсов [6]. Для преобразования аналогового сигнала в N-разрядный код требуется 2N–1 компараторов и 2N резисторов, размещенных, как это показано на рис. 6.5.

На каждый компаратор подается опорное напряжение, значение которого для соседних точек отличается на величину, соответствующую одному младшему значащему разряду (LSB) (более старшие разряды — в верхних по схеме элементах). На один вход каждого компаратора подается напряжение с соответствующей точки делителя, а на второй вход всех компараторов одновременно поступает аналоговый сигнал VIN. Таким образом, на входе каждого компаратора постоянно присутствует заданный уровень напряжения, с которым осуществляется сравнение аналогового сигнала. Максимальное значение величины аналогового сигнала VIN определяется соотношением: (VIN)max=(1–½N)VREF, где VREF – опорное напряжение.

17. Цифро-аналоговый преобразователь. Привести структурную схему.

Входные и выходные сигналы МС и, в частности, микроконтроллеров можно разделить на аналоговые и дискретные. Внешние аналоговые сигналы могут быть введены в МК по средствам аналого-цифрового преобразователя (АЦП). Обратное преобразование, позволяющее сформировать на выходе МК аналоговый сигнал, называется цифро-аналоговое преобразование (ЦАП). Вывод аналогового сигнала осуществляется с помощью цифро-аналогового преобразователя, который предназначен для генерации выходного напряжения (или тока) с уровнем, соответствующим заданному цифровому коду. На практике ЦАП применяется для управления различными исполнительными устройствами и системами, например, источниками питания с управляемым напряжением, различными индикаторами. С помощью ЦАП можно восстанавливать оцифрованные аудио и видео сигналы, синтезировать аналоговые сигналы различной формы. Существуют различные подходы при построении ЦАП, основанные как на классическом многоразрядном преобразовании, так и на различных методах модуляции. Для построения многоразрядных ЦАП могут использоваться различные принципы. Наиболее часто используется принцип формирования токов, пропорциональных весовым коэффициентам разрядов двоичного кода с последующим их суммированием в разрядах кода, содержащих логическую единицу [6]. Формирование указанных токов обычно производится с помощью резисторной матрицы R-2R. Схема такого формирователя токов приведена на рис. 6.1 [2].

Регистр данных определяет разрядность ЦАП, в него записывается цифровой код выходного сигнала. Матрица R-2R – самый распространенный метод цифро-аналогового преобразования. Матрица работает по принципу деления входного напряжения на входах. Матрица имеет число входов по числу разрядов регистра данных.

18. Внешние устройства ввода-вывода. Интерфейс RS-232.

Микросхемы, реализующие интерфейс RS-232: MAX202, MAX232 фирмы Maxim, ADM232 фирмы Analog Devices и др. На рис. 6.19 представлена конфигурация RS-232 с минимальным количеством соединений между узлами (микросхемы MAX202, MAX232, ADM232 и др.)

В условиях повышенных помех либо при большой длине кабеля надежность интерфейса RS-232 может оказаться недостаточной для 145 приемлемого качества коммуникаций. В этих случаях используется симметричный дифференциальный интерфейс RS-422 (рис. 6.20).

Симметричный дифференциальный формирователь, такой как MAX490 фирмы Maxim имеет два выхода. Один из выходов представляет собой буферизованный эквивалент входа формирователя, тогда как другой является его дополнением. Витая пара соединяет два этих вывода с двумя входами приемника (дифференциальный вход). Поскольку оба передаваемых сигнала в одинаковой степени подвергаются воздействию помех (синфазные помехи), то последние устраняются благодаря использованию дифференциального входа в приемнике. Этот интерфейс может эффективно функционировать в присутствии синфазных помех с амплитудой до 3В. При этом уровни передаваемых сигналов 0В и 5В. Допускается длина линии до 1200 м. При скорости передачи до 10 Мбод.

20. Визуальные языки программирования.

Главное преимущество визуальных языков программирования - то, что они позволяют наглядно представить программные структуры как например, алгоритмы и данные. Это противоречит традиционным текстовым языкам программирования, где такие многомерные структуры закодированы в одномерные строки с помощью достаточно сложного синтаксиса. Визуальные языки убирают этот слой абстракции, позволяя программисту, непосредственно наблюдать и манипулировать сложными программными структурами. Такая прямота представления, характеризуется большей наглядностью, исследователи Грин (Green) и Петре (Petre) считают, что это повышает эффективность работы программистов по созданию и манипулированию сложными структурами, что подтверждается практическим опытом, а также экспериментальный результатами.
Тем не менее, сравнение относительной эффективности визуальных и текстовых языков программирования - достаточно сложно, оно включает много критериев, например: класс языков, критерии тестирования; среда в которой языки реализованы. Одни результаты исследований, показали, что в текстовые системы более понятные чем визуальные. Другие исследования приводят прямо противоположные результаты. Кроме того, хотя имеется коммерчески успешные визуальные языки программирования, как например, Prograph и LabView. Визуальные языки никоим образом не заменяют текстовые языки для программирования профессионалами или начинающими пользователями. Visual Basic, например, несмотря на имя использует текстовый язык, широко используется многими пользователями. Ни у кого не вызывает сомнений, что просто визуального языка - не достаточно, чтобы сделать сделать программирование более эффективным, по сравнению с текстовыми аналогами.

21. Шифратор/дешифратор адреса.

Поскольку адресная шина однонаправленная, принцип ее функционирования проще, чем соответствующий механизм шины данных. При использовании адресной шины каждая ячейка памяти (и порт ввода/вывода) имеет свой собственный однозначный адрес. Поэтому перед началом любой пересылки информации с использованием шины данных микропроцессор должен указать конкретный адрес пересылки. Этот адрес точно определяет ячейку памяти (или порт ввода/вывода), к которой микропроцессору необходимо получить доступ. Таким способом микропроцессор может выбирать любой блок системы, с которым он должен взаимодействовать. Например, если адресная шина микропроцессора состоит из 16 линий и обеспечивает прямую адресацию 216 = 65 536 ячеек памяти и портов ввода/вывода. Эти линии имеют обозначения А0, А1, А2, … А15, причем А0 соответствует самому младшему разряду. Частью логического устройства управления является дешифратор адреса. Он формирует сигналы выборки устройства, когда на адресной шине появляется определенный адрес (или область адресов). Для примера на рис. 2.3 показан дешифратор адреса, который в шестнадцатеричной системе представляется числом 30 h, а в двоичной – 0011 0000 b. Выход этого дешифратора находится в состоянии «истина» (логический нуль) только тогда, когда именно данный адрес поступает на адресную шину. Этот выходной сигнал используется затем для разблокирования порта, которому присвоен адрес 30 h.

26. Поясните установку терминаторов на магистраль.

Для улучшения формы сигналов, распространяющихся по магистрали, иногда применяют оконечные согласователи (терминаторы) на концах линий магистрали. Особенно важно их применение в случае, когда допустимая длина магистрали превышает несколько метров. Например, в случае магистрали Q-bus применяются два типа согласователей: 120-омный и 250-омный (рис. 2.8). Подобные 120-омные согласователи или терминаторы используются и в магистральном последовательном интерфейсе RS-485, включаемые в цепь цифровой линии передачи данных на ее окончаниях, т.е. по краям линии – на крайних приемо-передатчиках (предельная длина передачи данных по RS-485 – 1200 м).

Рис. 2.8. Оконечные согласователи на магистрали Q-bus

Включение согласователей предъявляет дополнительные требования к нагрузочной способности передатчиков, работающих на линии магистрали. В магистрали ISA подобные согласователи не используются, хотя к некоторым линиям присоединены резисторы, соединенные другим своим выводом с шиной питания (прежде всего это линии, тип выходного каскада для которых - ОК).

В любом случае выходные каскады передатчиков, работающих на линии магистрали, должны обеспечивать высокие выходные токи, так как к магистрали может подключаться несколько устройств, каждое из которых потребляет входной ток. Типичные величины требуемых выходных токов магистральных передатчиков находятся в пределах 20–30 мА. В то же время входные токи магистральных приемников должны быть малыми, чтобы не перегружать передатчики. Типичные величины допустимых входных токов магистральных приемников лежат в пределах 0,2–0,8 мА.

29. Особенности построения сигма-дельта АЦП.

30. Шумы квантования АЦП и ЦАП.

В отличие от рассмотренных выше примеров АЦП, работа которых основана на ШИМ и ЧИМ, в последнее время широкое распространение получили сигма-дельта АЦП, работа которого основана на смешанном виде модуляции – ШИМ и ЧИМ. Сигма-дельта (ΣΔ – Sigma-delta) АЦП известны почти тридцать лет, но только недавно появилась технология (цифровые микросхемы с очень высокой степенью интеграции, VLSI) для их производства в виде недорогих монолитных интегральных схем. В настоящее время они используются во многих приложениях, где требуется недорогой, узкополосный, экономичный АЦП с высоким разрешением. ΣΔ АЦП содержит очень простую аналоговую электронику (компаратор, источник опорного напряжения, коммутатор и один или большее количество интеграторов и аналоговых сумматоров) и весьма сложную цифровую вычислительную схему. Эта схема состоит из дискретного фильтра низких частот (или низкочастотного полосового. фильтра), который реализован либо с помощью аппаратной схемы, либо

программно с использованием цифрового сигнального процессора (DSP). Для понимания того, как работает ΣΔ АЦП, важно познакомиться с концепциями избыточной дискретизации, формирования формы кривой распределения шума квантования, цифровой фильтрации и децимации.

Рассмотрим методику избыточной дискретизации с анализом в частотной области. Там, где преобразование постоянного напряжения имеет

ошибку квантования до ½ младшего разряда (LSB), дискретная система,работающая с переменным напряжением или током, обладает шумом

квантования. Идеальный классический N-разрядный АЦП имеет среднеквадратичное значение шума квантования, равное q 12. Шум квантования равномерно распределен в пределах полосы Найквиста от 0 до fS/2 (где q – значение младшего значащего бита и fS – частота

дискретизации), как показано на рис. 6.9-а.Если выбрать более высокую частоту дискретизации K∙fS (рис. 6.9-б), то среднеквадратичное значение шума квантования остается q 12, но шум теперь распределен по более широкой полосе от 0 до K∙fS/2. Если затем использовать на выходе цифровой низкочастотный фильтр, то значительно уменьшится шум квантования, но сохранится полезный сигнал, улучшая таким способом эффективное число разрядов. Таким образом, реализуется аналого-цифровое преобразование с высоким разрешением, используя аналого-цифровой преобразователь с низкой разрешающей способностью. Коэффициент K здесь упоминается, как коэффициент избыточной дискретизации. При этом необходимо отметить, что избыточная дискретизация дополнительно выгодна еще и тем, что она понижает требования к аналоговому ФНЧ. Так как ширина полосы пропускания уменьшена выходным цифровым фильтром, скорость выдачи выходных данных может быть ниже, чем первоначальная частота дискретизации (K∙fS), и при этом все же удовлетворять критерию Найквиста. Это достигается посредством передачи на выход каждого М-го результата и отбрасывания остальных результатов. Такой процесс называют прореживанием или децимацией с коэффициентом М. Несмотря на происхождение термина (decem по-латыни – десять), М может принимать любое целое значение, при условии, что частота выходных данных больше, чем удвоенная ширина полосы сигнала. Прореживание не вызывает никакой потери информации. ΣΔ-преобразователь не только ограничивает полосу пропускания сигнала, но также задает форму кривой распределения шума квантования таким образом, что большая ее часть выходит за пределы этой полосы пропускания, как это показано на рис. 6.9-в.

31. Параллельные порты и дискретный ввод-вывод.

Микроконтроллер имеет некоторое количество одиночных линий ввода/вывода, которые объединены в многоразрядные (4, 8 или 16 линий разрядов) параллельные порты ввода/вывода [1]. Разрядность параллельных портов может быть нестандартной, например, 5-разрядный порт у микроконтроллера PIC16F84. Через порты процессорное ядро взаимодействует с различными внешними устройствами – считывает значения входных сигналов и устанавливает значения выходных сигналов. В памяти МК каждому порту ввода/вывода соответствует свой адрес регистра данных. Обращение к регистру данных порта ввода/вывода производится так же, как и обращение к памяти данных. Параллельные порты могут использоваться для ввода-вывода многоразрядного кода данных для быстрого обмена с находящимися в непосредственной близости от МК периферийных устройств. Кроме того, во многих МК линии параллельных портов могут служить для ввода-вывода отдельных дискретных сигналов, для них предусмотрены битовые команды процессора, позволяющие опросить или установить отдельные разряды портов. По алгоритму обмена различают параллельные порты следующих типов [2]: 1. С программно-управляемым (программным) вводом-выводом – установка и считывание данных определяется только ходом вычислительного процесса. Нет защиты от повторного считывания-записи одного и того же (не изменившегося) значения на выводе и считывания-записи во время переходного процесса на выводе. 2. Со стробированием – каждая операция ввода-вывода подтверждается импульсом синхронизации (стробом) со стороны источника сигнала (при выводе – процессор, при вводе – внешнее устройство). Считывание информации приемником происходит только по стробу, что позволяет защититься от приема данных во время переходного процесса входного сигнала. Пример: порт PSP (Parallel slave port) в ОКМЭВМ PICmicro. 3. С полным квитированием. Данный режим чаще всего используется для обмена данными с другой вычислительной системой по параллельной шине. Кроме сигналов синхронизации со стороны передатчика используются сигналы подтверждения (готовности к следующему обмену) со стороны приемника. Это позволяет управлять интенсивностью обмена обоим взаимодействующим сторонам и предотвращает потерю данных, когда одна из них перегружена. Пример порта с квитированием, порт LPT персонального компьютера. В зависимости от реализуемых функций различают следующие типы параллельных портов: однонаправленные порты, предназначенные только для ввода или только для вывода информации; двунаправленные порты, направление передачи которых (ввод или вывод) определяется циклом чтения или записи МС; Однонаправленные порты ввода-вывода могут иметь либо однотактную выходную схему (с открытым коллектором или стоком), либо двухтактную выходной схемой (с комплементарной парой). Порты вывода с двухтактной выходной схемой являются самыми распространенными и реализованы, например, в семействах Atmel AVR, Microchip PICmicro, AMD AM186, Motorola HC08, HC11. Они дают возможность отключаться от линии при отсутствии обмена, т. е. обеспечивают z-состояние. Порты дискретного ввода-вывода микроконтроллера должны иметь цепи защиты и развязку от внешних линий датчиков и исполнительных устройств для обеспечения требуемого уровня помехозащищенности, а также схемы формирования стандартного логического сигнала. На рис. 6.16 приведена схема подключения внешней линии к порту дискретного ввода. Цепь развязки дискретного порта ввода.

32. Последовательные интерфейсы и сетевые средства передачи данных.

Последовательный ввод-вывод используется для обмена данными между микроконтроллером и удаленными периферийными устройствами ввода-вывода. Данные передаются в последовательном коде, то есть биты передаются во временной последовательности друг за другом по одному каналу связи. Это даёт возможность использовать один канал связи вместо нескольких в случае параллельного кода. Однако при этом снижается быстродействие канала связи. Различают полудуплексные и дуплексные каналы последовательной связи. В случае полудуплексного канала данные передаются по одному и тому же каналу в обе стороны, но в каждый конкретный момент времени только в одну сторону. В случае дуплексного канала данные передаются по двум каналам (в одну сторону – по одному, в другую – по другому). При этом появляется возможность передавать информацию в обе стороны одновременно. По методу синхронизации различают синхронные и асинхронные каналы связи. При синхронном методе передачи каждый передаваемый бит данных синхронизируется импульсом в канале синхронизации. При этом по каналу данных передаются только собственно данные. Такой метод передачи позволяет обеспечить скорость передачи порядка 1 Мбит/сек. Однако, для его организации необходим дополнительный канал передачи синхроимпульсов. При асинхронном методе передачи канал синхронизации отсутствует. Это вызывает необходимость передавать по каналу данных дополнительную информацию для синхронизации приемной стороны. Первым в посылке передается «Старт-бит» нулевого уровня. Приняв его, приемная сторона подстраивает фазу своих синхросигналов в соответствии с моментом прихода «Старт-бита». После него один за другим следуют биты данных (младшими битами вперед). В конце цепочки данных может следовать бит четности для проверки правильности приема. Заканчивается посылка «Стоп-битом» единичного уровня. Частота передачи, то есть время передачи одного бита заранее должны быть согласованы на передающей и приемной сторонах. Такой метод передачи позволяет обеспечить скорость передачи до 100 Кбит/сек. В асинхронном режиме COM-порту требуется всего две информационные линии для обмена данными: передача с вывода TxD, а прием – на вывод RxD. Синхронизация бит и байт осуществляется с помощью двух встроенных таймеров МК – Т1 и Т2. Скорость передачи определяется их частотой тактирования, в момент завершения передачи очередного байта таймером генерируется сигнал запроса прерывания. Микроконтроллер может содержать либо отдельные синхронные и асинхронные порты ввода-вывода, либо универсальный порт, способный работать в обоих режимах.

33. Применение широтно-импульсной модуляции в микропроцессорах.

Одним из наиболее простых и распространенных способов преобразования выходной информации ПЛК в аналоговый вид является ЦАП, основанный на широтно-импульсной модуляции. Преобразование цифрового кода в последовательность импульсов осуществляется чаще всего

на основе таймера, работающего в режиме «COMPARE INTERRUPT» (см. рис. 5.6), хотя возможны и другие схемы преобразования цифрового кода во

временной интервал и генерации широтно-модулированной импульсной последовательности. Преобразование выходной последовательности ШИМ в непрерывный аналоговый сигнал производиться с помощью интегрирующей цепи или фильтра низких частот (ФНЧ), имеющего постоянную времени много

большую, чем период ШИМ. Восстановление аналогового сигнала на выходе ФНЧ иллюстрируется на рис. 6.2, где x – выходной непрерывный сигнал, u

последовательность импульсов на выходе ШИМ.

Шина адреса служит для определения адреса (номера) устройства, с которым процессор обменивается информацией в данный момент. Каждому устройству (кроме процессора), каждой ячейке памяти в микропроцессорной системе присваивается собственный адрес. Когда код какого-то адреса выставляется процессором на шине адреса, устройство, которому этот адрес приписан, понимает, что ему предстоит обмен информацией. Шина адреса может быть однонаправленной или двунаправленной.

Шина данных — это основная шина, которая используется для передачи информационных кодов между всеми устройствами микропроцессорной системы. Обычно в пересылке информации участвует процессор, который передает код данных в какое-то устройство или в ячейку памяти или же принимает код данных из какого-то устройства или из ячейки памяти. Но возможна также и передача информации между устройствами без участия процессора. Шина данных всегда двунаправленная.

Шина управления в отличие от шины адреса и шины данных состоит из отдельных управляющих сигналов. Каждый из этих сигналов во время обмена информацией имеет свою функцию. Некоторые сигналы служат для стробирования передаваемых или принимаемых данных (то есть определяют моменты времени, когда информационный код выставлен на шину данных). Другие управляющие сигналы могут использоваться для подтверждения приема данных, для сброса всех устройств в исходное состояние, для тактирования всех устройств и т.д. Линии шины управления могут быть однонаправленными или двунаправленными.

Наконец, шина питания предназначена не для пересылки информационных сигналов, а для питания системы. Она состоит из линий питания и общего провода. В микропроцессорной системе может быть один источник питания (чаще +5 В) или несколько источников питания (обычно еще –5 В, +12 В и –12 В). Каждому напряжению питания соответствует своя линия связи. Все устройства подключены к этим линиям параллельно.

 

8. Структура памяти микропроцессорных систем;


Микропроцессорные системы используют память для хранения команд, данных и другой информации. Системы памяти отличаются друг от друга по способам доступа к ним, по объему памяти, энергоне­зависимости, стоимости хранения в расчете на бит информации, времени доступа.


Для функционирования компьютерной системы необходимо наличие как оперативного запоминающего устройства (ОЗУ), так и постоянного запоминающего устройства (ПЗУ), обеспечивающего сохранение информации при выключении питания. ОЗУ может быть статическим и динамическим, а ПЗУ однократно или многократно программируемым.

 

В ОЗУ коды в соответствии с решаемыми задачами постоянно изменяются и полностью пропадают при выключении питания. В ПЗУ хранятся управляющие работой ЭВМ стандартные программы, константы, таблицы символов и другая информация, которая сохраняется и при выключении компъютера. ОЗУ подразделяются на статическую память (SRAM), динамическую (DRAM), регистровую (RG). ПЗУ могут быть: масочными - запрограммированными на заводе изготовителе (ROM), однократно-программируемыми пользователем ППЗУ (PROM или OTP), многократно-программируемыми (репрограммируемыми) пользователем РПЗУ с ультрафиолетовым стиранием (EPROM) или c электрическим стиранием (EEPROM). Широкое распространение нашли также программируемые логические матрицы и устройства (PLM, PML, PLA, PAL, PLD, FPGA и т.д.) с большим выбором логических элементов и устройств на одном кристалле.

В зависимости от типа ЗУ элементом памяти (ЭП) может быть: триггер, миниатюрный конденсатор, транзистор с "плавающим затвором", плавкая перемычка (или ее отсутствие). Упорядоченный набор ЭП образует ячейку памяти (ЯП). Количество элементов памяти в ячейке (длина слова) обычно кратно 2n (1,4,8,16, 32,64..), причем величины свыше 8-ми достигаются, обычно, группировкой микросхем с меньшим количеством ЭП. Количество ЭП в ЯП иногда называется длиной слова. Основными характеристиками микросхем памяти являются: информационная емкость, быстродействие и энергопотребление. Емкость ЗУ чаще всего выражается в единицах кратных числу 210 = 1024 = 1K. Для длины слова равной биту (одному двоичному разряду) или байту (набору из восьми бит) эта единица называется килобит или килобайт и обозначается Kb или KB.



Поделиться:




Поиск по сайту

©2015-2024 poisk-ru.ru
Все права принадлежать их авторам. Данный сайт не претендует на авторства, а предоставляет бесплатное использование.
Дата создания страницы: 2018-01-08 Нарушение авторских прав и Нарушение персональных данных


Поиск по сайту: