Пошаговая инструкция: создаем проект Quartus II




Под запись

Технические характеристики платы Marsohod v.1.3

Назначение пинов чипа EPM240T100C5 на плаате Marsohod

Последовательность создания нового проекта в Quartus II

– Запустить среду разработки Altera Quartus II и выбирать пункт меню File/New Project Wizard.

– выбрать папку, в которой будут сохраняться файлы проекта и ввести название Проекта английскими символами, слитно (имя проекта автоматически совпадает с основным файлом проекта – файлом верхнего уровня)

– если есть необходимость можно к новому проекту добавить файлы из других проектов, а также внешние инструменты для симуляции, тайминга и др.

– выбрать тип микросхемы, для которой будет делаться проект (в нашем случае EPM240N100C5)

Добавить файл, описывающий логику работы разрабатываемого устройства: File/New. Это могут быть файлы типа: AHDL, VHDL, Verilog, Block diagram/Schematic file и др.

Описать логику работы разрабатываемого устройства и сохранить файл. Имя файла должно совпадать с именем проекта, если Ваш проект состоит из одного файла

Дополнительно указать, что созданный файл является файлом верхнего уровня: Project/Set As Top Level Entity

7. Настроить проект - все неиспользуемые входы будут входами с высоким входным сопротивлением: Assignments/Device + [Device and Pin Options] + Unused Pins/As input tri-stated

Назначить входным и выходным переменным (например, кнопки и светодиоды соответственно) проекта пины на плате Marsohod, соответствии с техническим описанием на плату Marsohod: Assignments/Assignment Editor

9. Настроить проект - подключить внутренний резистор к любому входу: Assignments/Assignment Editor + key*/Weak Pull Up resistor/On

Откомпилировать проект: Processing/Start Compilation

11. При отсутствии ошибок запрограммировать чип на плате Marsohod: Tools/Programmer. Подключить плату Marsohod через программатор USB-Blaster к компьютеру. Подключить питание на плату Marsohod. Выбрать программатор: [Hardware Setup]. Устанавливаем опции программирования: Configure и Verify. Нажать кнопку [Start]ю

Отключить плату Marsohod от программатора. Подключить питание на плату Marsohod. Проверить работоспособность разработанного устройства.

Пошаговая инструкция: создаем проект Quartus II

Рассмотрим процедуру создания нового проекта в среде Altera Quartus II, как его откомпилировать и как прошить плату Марсоход.

В предыдущей статье "Введение в Verilog, урок первый" я писал о простых "программах" на языке Verilog. Мы изучили простое понятие провод (wire) и регистр (reg).

Необходимо сделать простой проект - соединить проводами кнопочки и светодиоды на плате Марсоход. Чтоб было не совсем скучно, добавим совсем простую логику в наш проект - две логические функции И и ИЛИ.

Соединить проводами - это не значит, что мы будем что-то паять. Мы напишем "программу" на языке Verilog, откомпилируем ее средствами среды разработки Quartus II и зашьем в плату Марсоход.

Вот какая будет программа на Verilog:

module test_wires(
input wire key0,
input wire key1,
input wire key2,
input wire key3,
output wire led0,
output wire led1
);
assign led0 = key0 & key1;
assign led1 = key2 | key3;
endmodule

Светодиод led0 будет гореть если от кнопочек key0 И key1 будет приходить сигнал единица.

Светодиод led1 будет гореть если от кнопочек key2 ИЛИ key3 будет приходить сигнал единица.

Шаг 1.

Запускаем среду разработки Altera Quartus II Web Edition и выбираем пункт меню File/New Project Wizard. (Нажмите на картинку, чтобы посмотреть крупнее).

Шаг 2.

Вы видите начальный диалог мастера создания проекта. Этот диалог в несколько простых шагов поможет нам сделать наш первый проект. Сейчас нажмем кнопку Next - и пойдем дальше.

Шаг 3.

Сейчас нам нужно выбрать папку для нашего нового проекта и его название. Выберите себе любую пустую папку. Я назвал наш проект lesson1, вы можете использовать любое другое название.

Шаг 4.

Сейчас можно добавить в новый проект какие-то существующие файлы, модули, может быть из других проектов. Поскольку это наш первый проект, то пропускаем этот шаг. Нажимаем Next.

Шаг 5.

Вот здесь пожалуйста внимательнее. Нужно выбрать тип микросхемы CPLD или FPGA, для которой будет делаться проект. Конечно, этот шаг тоже можно пропустить и сделать это назначение позже. Я предлагаю делать выбор сейчас. На плате Марсоход стоит микросхема ПЛИС (CPLD) EPM240T100C5. Вот ее и выбираем. После того, как выбрали - нажимаем Next.

Шаг 6.

Здесь можно выбрать дополнительные программные инструменты, например, для симуляции проекта, мы пропускаем этот шаг. Нажимаем кнопку Finish. Наш проект создан.



Поделиться:




Поиск по сайту

©2015-2024 poisk-ru.ru
Все права принадлежать их авторам. Данный сайт не претендует на авторства, а предоставляет бесплатное использование.
Дата создания страницы: 2019-12-18 Нарушение авторских прав и Нарушение персональных данных


Поиск по сайту: