Лекция №34.Импульсные устройства




Цель: получить основные сведения о методе проведениия анализа параметров работы триггера.

Образовательные результаты по ФГОС:

Знать: сущность физических процессов, протекающих в электронных приборах и устройствах; принципы включения электронных приборов и построения электронных схем;

типовые узлы и устройства электронной техники.

Уметь: определять и анализировать основные параметры электронных схем и устанавливать по ним работоспособность устройств электронной техники; производить подбор элементов электронной аппаратуры по заданным параметрам.

Задание: составить конспект лекции, выделить главное, ответить на контрольные вопросы.

План.

Тема: Определение и анализ параметров работы счётчиков.

Счетчики относятся к группе последовательностных узлов. Это устройства, по состоянию выходов которых можно определить количество входных воздействий, поступивших на их входы к моменту наблюдения. Обычно это делается путем преобразования комбинаций двоичных сигналов на выходах разрядов счетчика в соответствующие числа. При этом разрядам счетчика Qi присваиваются некоторые веса Рi и число соответствующее его состоянию определяется из соотношения, + + × + × =0 0 1 1 1 1... N P Q P Q P Q - -n n (1.6.1) где n – количество разрядов счетчика. Одним из основных параметров счетчика является модуль счета (емкость) М. Это число устойчивых различимых состояний счетчика, которое определенным образом связано с его разрядностью. Требование различимости необходимо, так как если состояние счетчика переходит само в себя, то никакой информации о количестве воздействий получить невозможно. Аналогичная картина будет наблюдаться, когда состояния неустойчивы, то есть самопроизвольно без внешних воздействий меняются. Когда число поступивших входных воздействий превысит модуль счета, то произойдет так называемое переполнение счетчика, он вернется в исходное состояние и начнется новый процесс смены его состояний. Входным воздействием обычно является импульс, поступающий на специальный, так называемый счетный вход. По значению модуля счетчики делятся на двоичные, двоично-десятичные (десятичные), счетчики с произвольным и управляемым модулем счета. В двоичных счетчиках модуль кратен степени двойки, то есть он может принимать значения 2,4,8,16 и т.п., в десятичных кратен степени десяти, то есть 10,100,1000…., в счетчиках с произвольным модулем может иметь любое фиксированное значение, а в счетчиках с управляемым модулем, менять его под воздействием внешних сигналов. Счетчики всех вышеперечисленных видов могут быть суммирующими, вычитающими и реверсивными. Комбинацию сигналов с выходов счетчика можно интерпретировать в виде кода некоторого числа. Например, если на выходах присутствует комбинация Q Q Q Q 3 2 1 0 1, 0, 1, 1= = = =, и разрядам приписать веса 8-4-2-1, то эта комбинация будет соответствовать числу 11 в десятичной системе счисления. В суммирующих счетчиках число, соответствующее формируемому счетчиком коду, увеличивается на единицу каждый раз с приходом очередного счетного импульса, то есть следующая комбинация состояний разрядов должна быть 1-1-0-0, что будет соответствовать числу 12. В вычитающих счетчиках с поступлением очередного импульса формируется кодовая комбинация, соответствующая числу, уменьшенному на единицу. Реверсивные счетчики в зависимости от установленного режима работы могут функционировать и как суммирующие и как вычитающие. Условно-графическое обозначение на принципиальных схемах четырехразрядных двоичных и двоично-десятичных счетчиков, как функциональных элементов приведены на рисунке 1.

Рисунок 1- Условно-графические обозначения четырехразрядных двоичных и двоично-десятичных счетчиков

Важным параметром счетчика является быстродействие, которое обычно оценивается по максимальной частоте следования счетных импульсов, при которой работа системы как счетчика не нарушается. В суммирующем двоичном счетчике с модулем 2 =m M, на выходах формируются m разрядные кодовые комбинации, порядок смены которых соответствует изменению комбинаций двоичного кода, описывающего состояния счетчика от 0 до М-1. Ячейкой, которая под действием внешних сигналов может менять свое состояние и сохранять его является триггер, поэтому они используются в качестве разрядов счетчиков. Наиболее подходящими для этой цели являются счетные, или Т – триггеры, у которых выходной сигнал меняется на противоположный с приходом очередного импульса на счетный вход. Один триггер, срабатывающий, к примеру, по отрицательному фронту может выполнять функции простейшего счетчика с модулем 2, что можно пояснить таблицей (рисунок 2).

Рисунок 2- Использование счетного триггера в качестве двоичного счетчика с модулем 2

 

Если в исходном состоянии на выходе триггера присутствовал нулевой сигнал, то с приходом первого счетного импульса он изменится на единичный, а следующий импульс переведет его в исходное, нулевое состояние. Состояния триггера в отсутствии воздействий самопроизвольно не меняются, то есть являются устойчивыми и одно отличается от другого. Так как различимых состояний только два, то модуль счета у такого простейшего счетчика также будет равен двум, то есть он дает возможность однозначно определить первое воздействие, а далее по состоянию выхода триггера можно лишь судить о четном, либо нечетном их количестве. Счетный триггер может срабатывать либо по отрицательному, либо по положительному фронту импульса, а так как у импульса лишь один отрицательный и один положительный фронт, то в итоге, счетчик будет определять их количество. Для построения счетчика с модулем 4 потребуется система из двух триггеров у которых может быть четыре состояния. Таблица, описывающая работу суммирующего варианта такого счетчика представлена на рисунок 3. Так как десятичное число, описывающее состояние счетчика должно быть равно количеству поступивших импульсов и увеличиваться с приходом каждого из них на единицу, то представляя его в двоичном коде, можно получить значения разрядов в каждом такте. При этом разряд Q0 должен иметь вес 0 2, а Q1 - 1 2.

Рисунок 3- Таблица функционирования двоичного счетчика с модулем 4

 

Из таблицы следует, что триггер, формирующий значение разряда Q0, должен переключаться с приходом отрицательного фронта каждого входного сигнала, а второй триггер, лишь когда на выходе первого появляется переход 1→0, то есть отрицательный фронт. Отсюда следует, что схема такого счетчика будет иметь вид, представленный на рисунок 4.

Рисунок 4-Принципиальная схема двухразрядного суммирующего двоичного счетчика

 

Его работу можно описать, используя временные диаграммы (рисунок 5), либо граф переходов (рисунок 6), из которого следует, что количество рабочих состояний у такой системы равно числу возможных.

Рисунок 5- Временные диаграммы работы суммирующего двухразрядного двоичного счетчика

 

Пример анализа и синтеза счетчика по заданному коду с натуральным двоичным кодом.

Задание.

Синтезировать счетчик на D -триггерах с коэффициентом пересчета Р=8 по заданному коду. Номера наборов кода: 0, 2, 3. 5, 6.

Решение.

Так как Р=8. то синтезируемый счетчик будет состоять из трех триггеров (D1. D2, D3). В таблице 1 приведены возможные состояния на выходах этих триггеров. В соответствии с заданием нас интересуют номера наборов кода: 0, 2, 3, 5. 6 (выделены серым цветом).

 

Таблица 1-Возможные состояния на выходах D -триггеров

 

Следовательно, наш счетчик должен перебирать по порядку следующие состояния:

ООО —> 010 —> 011 —> 000 —> …,причем пересчет должен идти в бесконечном цикле. Из одного состояния в другое он должен переходить по приходу очередного импульса на вход. Оставим в таблице только интересующие нас наборы, в итоге получаем следующую таблицу (таблице 2).

 

Таблица 2- Состояния счётчика

 

Заполним карты Карно. Используя таблицу 2, заполняем карту Карно для триггера D1, Начинаем заполнение с Q1Q2Q3 =000. Находим в таблице 2 строку Q1Q2Q3 =000. Смотрим,в какое состояние должна перейти схема Q1Q2Q3 =000. При переходе из 000 в 010 выход Q1перешел в состояние 0, следовательно, в карту Карно на пересечении Q1=0 и Q2Q3 =00 в клетку заносим наше Q1=0. Получим (таблица 3).

Таблица 3- Состояния счётчика

Далее смотрим состояние Q1Q2Q3= 001. Находим в таблице 2 строку 001. Т.к. такой строки пет, то в карту Карно на пересечении Q1,=0 и Q2Q3 =01 в клетку заносим ~. Получим (таблица 4)

 

Таблица 4- Состояния счётчика

 

 

Осуществляем эту операцию до тех пор, пока все клетки карты Карно не будут заполнены. Проделываем такие же действия и для заполнения карт Карно для триггеров D2 и D3. При заполнении карты Карно для триггера D1 ориентируемся на столбец Q1, триггера D2 - Q2,триггера D3 – Q3. В итоге получим (таблица 5,6,7)

Таблица 5- Состояния счётчика для триггера D1

 

 

Таблица 6- Состояния счётчика для триггера D2

Таблица 7- Состояния счётчика для триггера D3

 

Произведем объединения в Картах Карно. Получим (таблица 8,9,10)

Таблица 8- Состояния счётчика для триггера D1

Таблица 9- Состояния счётчика для триггера D2

Таблица 10- Состояния счётчика для триггера D3

По получившимся выражениям составим синтезируемого счетчика на D-триггерах.

На входы D-триггеров будем подавать сигналы с логикой, полученной из наших выражений (D1D2 и D3), На вход С будем подавать синхроимпульсы, необходимые для работы схемы. С выхода Q каждого из 3-х триггеров будем снимать, соответствующее ему значение (Q1 с D1, Q2 с D2 и Q3 с D3). Аналогично с инверсных выходов триггеров будем снимать значения . Подадим на вход D триггера D1 выражение (рисунок 6).

Рисунок 6-Схема счётчика на D1 триггере

 

Проделав тоже самое для D2 и D3 получим конечную схему счетчика (рисунок 7).

Рисунок 7-Конечная схема счётчика

 

Контрольные вопросы.

1. Почему Т-триггер получил название счетного? Какое число импульсов он может сосчитать?

2. Как работает D-триггер, если D=Q?

3. Почему при подключении счетных входов триггеров к инверсным выходам предыдущих каскадов счетчик на Dтриггерах работает как суммирующий, а при подключении к прямым - как вычитающий?

4. В каком режиме будет работать счетчик на JK -триггерах при подключении счетных входов триггеров к прямым выходам предыдущих каскадов? Как изменится режим работы счетчика при подключении счетных входов триггеров к инверсным выходам?

5.По какому фронту должны срабатывать триггеры для построения на их основе вычитающего счетчика?

6.Можно ли построить синхронный счетчик на асинхронных триггерах?

7.Как оценивается быстродействие синхронного счетчика на синхронных триггерах?

Источник:

1. https://fvte.pskgu.ru/download.php/pskgu/files/PAGES/

2. www.qrz.ru

Видео: 1.https://www.youtube.com/watch?v=xNO3UPW3QB4&list=RDCMUCFI31dsn8yxaarw6LZpSHWw&index=5

2. https://www.youtube.com/watch?v=a37anDvo0bs&list=RDCMUCFI31dsn8yxaarw6LZpSHWw&index=22

3. https://www.youtube.com/watch?v=tKZkAx9Q3Po&list=RDCMUCFI31dsn8yxaarw6LZpSHWw&index=1

 



Поделиться:




Поиск по сайту

©2015-2024 poisk-ru.ru
Все права принадлежать их авторам. Данный сайт не претендует на авторства, а предоставляет бесплатное использование.
Дата создания страницы: 2020-12-26 Нарушение авторских прав и Нарушение персональных данных


Поиск по сайту: