ДЛЯ СЕМИСЕГМЕНТНЫХ ИНДИКАТОРОВ




 

Для создания нового проекта нажмите File>New Project.

В открывшейся диалоговой панели нужно определить исходные данные, необходимые для создания проекта:

1) Название проекта (Project Name);

2) Путь, в котором предполагается расположить проект (Project Location);

3) семейство ПЛИС, на базе которого разрабатывается устройство (Device Family) (xc9500 xl CPLDs);

4) тип кристалла (Device) (xc95288xl);

5) корпус (Pacage) (VQ144);

Затем создаем новый модуль исходного описания проекта. Для этого следует выбрать команду New Source из раздела Project основного меню. В открывшейся диалоговой панели, показанной на рис. 3.

 

Рис. 3. Диалоговая панель установки параметров нового исходного модуля проекта.

 

Необходимо выбрать тип нового модуля, задать его имя и указать место расположения файла на диске. Для разработки принципиальной схемы следует выбрать тип создаваемого исходного модуля VHDL Module. Место расположения создаваемого модуля на диске указывается в поле редактирования Location диалоговой панели. По умолчанию предлагается рабочий каталог текущего проекта. Если флаг индикатора Add to project находится в установленном состоянии, то созданный модуль автоматически включается в состав текущего проекта. При создании VHDL Module можно указать имя архитектуры (architecture_name), а также имя вашего объекта (entity_name)

При открытии окна VHDL редактора (рис. 4) можно непосредственно приступать к написанию программы; он будет иметь следующий вид:

 

 

Рис. 4. Окно VHDL редактора.

 

В только что созданном файле, как вы могли заметить, уже созданы пустые тела архитектуры и объекта, а также включены стандартные библиотеки. На рис. 5представлен текст программы, описывающей дешифратор.

 

Рис. 5. Семисегментный дешифратор.

 

После того как программа написана и сохранена, необходимо сформировать тестовый файл, и промоделировать дешифратор в программе ModelSim 5.8c.

На рис. 6 показан результат функционального моделирования схемы семисегментного дешифратора.

 

 

Рис. 6. Результат функционального моделирования семисегментного дешифратора.


ПРАКТИЧЕСКАЯ ЧАСТЬ.

 

Опишите несколькими способами заданное цифровое устройство на языке VHDL, проведите его моделирование в программе ModelSim и реализацию на лабораторном стенде ЛСЦ-002.

Проектируемое устройство задано в виде логической последовательности и представляет собой комбинационную логическую схему (кодопреобразователь) с 4-мя входами и 4-мя выходами. Варианты заданий указаны в таблице.

 

Номер варианта Логическая последовательность кодопреобразователя
  8382 B8E1 EA6F 511D
  1612 71B4 B3AF C44D
  4341 74D2 D59F A22E
  1A12 B178 736F C88D
  4A42 E478 763F 988D
  1C50 1825 D35B E74C
  1A30 1843 B53D E72A
  4950 4825 D65E B719
  2CA0 241A E3A7 DB8C
  85C0 812C DACB 7E45
  8390 8249 BC9E 7D13
  1650 1285 795B EED46
  4360 4186 7C6D BE23
  1A90 1249 B597 ED8A
  4AC0 421C E5C7 BD8A

 

 

ЛИТЕРАТУРА:

1. Грушвицкий Р.И., Мурсаев А.Х., Угрюмов Е.П. Проектирование систем на микросхемах программируемой логики. – СПб.: БХВ-Петербург, 2002. – 608 с.: ил.

2. Зотов В.Ю. Проектирование цифровых устройств на основе ПЛИС фирмы XILINX в САПР WebPACK ISE – М.: Горячая линия-Телеком, 2003. – 624 с., ил.

3. Сергиенко А.М. VHDL для проектирования вычислительных устройств. – К.: ЧП «Корнейчук», ООО «ТИД «ДС», 2003. – 208 с.

3. Угрюмов Е.П. Цифровая схемотехника – СПб.:БХВ-Петербург, 2001. – 528 с.: ил.

4. Уэйкерли Джон Ф. Проектирование цифровых устройств, том 1.: Пер. с англ. – М.: Постмаркет, 2002. – 544 с.

5. Уэйкерли Джон Ф. Проектирование цифровых устройств, том 2.: Пер. с англ. – М.: Постмаркет, 2002. – 528 с.

 

НАГЛЯДНЫЕ МАТЕРИАЛЫИ ПОСОБИЯ:

 

– Информация с сайта – www.xilinx.com

– Информация с сайта – www.plis.ru

 

 



Поделиться:




Поиск по сайту

©2015-2024 poisk-ru.ru
Все права принадлежать их авторам. Данный сайт не претендует на авторства, а предоставляет бесплатное использование.
Дата создания страницы: 2022-11-27 Нарушение авторских прав и Нарушение персональных данных


Поиск по сайту: